--多进制数字频率调制(mfsk)系统vhdl程序
--文件名:mfsk
--功能:基于vhdl硬件描述语言,完成对基带信号的mfsk调制
--说明:这里mfsk的m为4
--最后修改日期:2004.2.13
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mfsk is
port(clk :in std_logic; --系统时钟
start :in std_logic; --开始调制信号
x :in std_logic; --基带信号
y :out std_logic); --调制信号
end mfsk;
architecture behav of mfsk is
process(clk) process(clk,yy) --此进程完成对输入基带信号x的mfsk调制
begin
if clk'event and clk='1' then
if start='0' then y<='0'; -- if语句完成2位并行码到4种载波的选通
elsif yy=00 then y<=not f(3);
elsif yy=01 then y<=not f(2);
elsif yy=10 then y<=not f(1);
else y<=not f(0);
end if;
end if;
end process;
end behav;
--对输入的基带信号x进行串/并转换,得到2位并行信号的yy
begin
if clk'event and clk='1' then
if start='0' then q<=0;
elsif q=0 then q<=1;xx(1)<=x;yy<=xx;
elsif q=8 then q<=9;xx(0)<=x;
else q<=q+1;
end if;
end if;
end process;
两种运算放大器实现恒流源的电路
5G时频双聚合技术,提升5G网络容量和覆盖性能
SIMATIC S7-1500 PLC的通信及其应用—PROFIBUS的结构和类型
钢化玻璃保护膜快速固化UV荧光的胶水
【新闻中心】安科瑞参加2022年杭州市建筑电气同仁联谊会暨年会
基于VHDL的基带信号的MFSK调制
关于英飞凌与汇川技术全面拓展合作的分析和介绍
烟雾报警器无线收发方案
新基建将成为2020年拉动经济增长的新动力引擎
TN-C-S系统的特点_TN-C-S系统接线图
什么是微处理器
基于深度学习(DL)的数据驱动的非参数化MEMS结构设计方法
传博通将审查VMware两个业务部门的战略选择
LED电源转型升级?CHANGE WEN CAN
无人机自动机场的作用及需必备哪些技术功能
2017年全球存储器市场预估同比增长10%
5G应关注用例而非技术
Linux操作的防火墙软件应用程序定义和配置设置及底层源代码
智能手机的创新之路是哪般?
傅里叶变换的应用 FFT分析信号频谱