vhdl全名very-high-speed integrated circuit hardware description language,诞生于1982年。1987年底,vhdl被ieee和美国国防部确认为标准硬件描述语言 。自ieee-1076(简称87版)之后,各eda公司相继推出自己的vhdl设计环境,或宣布自己的设计工具可以和vhdl接口。1993年,ieee对vhdl进行了修订,从更高的抽象层次和系统描述能力上扩展vhdl的内容,公布了新版本的vhdl,即ieee标准的1076-1993版本,简称93版。vhdl和verilog作为ieee的工业标准硬件描述语言,得到众多eda公司支持,在电子工程领域,已成为事实上的通用硬件描述语言。
本文为大家分享用vhdl语言编写的9秒倒计时器程序。
vhdl语言优势
(1)与其他的硬件描述语言相比,vhdl具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。
(2)vhdl丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。
(3)vhdl语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个开发组共同并行工作才能实现。
(4)对于用vhdl完成的一个确定的设计,可以利用eda工具进行逻辑综合和优化,并自动的把vhdl描述设计转变成门级网表。
(5)vhdl对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。
完整程序
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------------------------------
entity cnt9 is
port
( clk,en: in std_logic;
pld,enb : in std_logic; -------pld表示复位信号
warn: out std_logic;
s0 : out std_logic_vector(6 downto 0));------------译码输出,s0为个位
end cnt9;
--------------------------------------------------------------------------------------------
architecture a of cnt9 is
signal ss : std_logic_vector (3 downto 0) ; -------------ss表示个位
begin
pro1: process (clk,en,enb)
variable tmpa: std_logic_vector (3 downto 0);
variable tmpwarn: std_logic;
begin
if en=‘1’ then tmpa:=“1001”;tmpwarn:=‘0’;
elsif clk‘event and clk=’1‘ then
tmpa:=tmpa-1;
if tmpa=’0‘ then tmpwarn:=’1‘;
end if;
end if;
ss<=tmpa;warn s0 s0 s0 s0 s0 s0 s0 s0 s0 s0 s0<=“0000000”;
end case ;
end process;
end a;
光电晶体管简介
浅谈GPU网络中光互连的光通信技术
2018年哪款手机设计最出色
英特尔是否也能在明年发布之际一鸣惊人
5G Rel-17标准在2021年底完成技术冻结
vhdl语言编写 9秒倒计时器
导览机器人控制系统的软硬件设计
小米12最新官方消息:12月28日发布,首发骁龙898处理器
水氢机主要应用于工业电力、新能源汽车行业之中
诸多企业积极立Flag 加快布局自动驾驶的步伐
热资本下的冷思考,国产GPU挑战与机遇并存
如何使便携式电子产品的电池技术充分发挥功效
智能家居正式成为手机厂商的第二战场,市场将迎来重大变革
罗德与施瓦茨与英伟达共同展示基于AI/ML的神经接收机
区块链6层协议是怎么一回事
【安防】维安达斯防爆红外光栅在某能源公司应用案例
使用内置的RTOS安全性保护连接的嵌入式设备
2019年将成为5G的元年但同时也面临着四大挑战
CDP协议的工作原理及可能存在的安全隐患
魅族Flyme公测时间曝光:12月30日