基于VHDL的组合逻辑电路的设计、仿真

一、实验目的
熟悉quartusⅱ的vhdl文本设计过程,学习简单组合逻辑电路的设计、仿真和测试方法。
二、实验内容
1. 基本命题
完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤。最后在实验系统上进行硬件测试,验证本项设计的功能。
2. 扩展命题
将设计的2选1多路选择器看成是一个元件mux21a,利用元件例化语句设计能够满足图3-1所示仿真波形的逻辑功能的双2选1多路选择器。
图3-1 仿真波形
三、实验仪器与器材
计算机1台,gw48-pk2s实验箱1台,quartusⅱ6.0 1套。
四、实验
将实验原理、设计过程、仿真步骤、仿真波形和分析结果、硬件测试实验结果写进实验报告。
2选1多路选择器设计
① 实验原理
由数电知识可知,2选1多路选择器由2个数据输入口(in0,in1),1个数据选择端(s1),一个输出口(couty)构成。本程序通过判断数据选择端(s1)的取值,将对应的数据输入口(in0或in1)输出。
② 程序设计
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mux21a is
port ( in0,in1,s1:in std_logic;
couty : out std_logic);
end mux21a;
architecture behav of mux21a is
begin
couty《=in0 when s1=‘0’ else in1;
end behav;
③ mux21a仿真波形图
为了使仿真结果更为直观,我们将in0设为高电平,in1设为低电平。
图1 mux21a仿真波形图
由图1可知,我们可知当s1处于高电平时,count输出in1;反之,输出in0.所以所设计的实验能够满足要求。
双2选1多路选择器
① 实验原理
本实验中直接利用上题的mux21a。由上题可知,mux21a由2个数据输入口(in0,in1),1个数据选择端(s1),一个输出口(couty)构成。设有两个mux21a,分别为u1和u2。为了充分利用u1和u2的数据选择端,我们将u1的输出口(count)接到u2的输入口in0,这样u2通过自身的选择端(s1)就可以选择u1的输出或则自己in0的输入数据,实现2选1选择器到双2选1多路选择器的扩展。(如图2所示)
图2 双二选一多路选择器
② 程序设计
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dmux21a is
port ( in0,in1,in2,s0,s1:in std_logic;
couty : out std_logic);
end dmux21a;
architecture bhv of dmux21a is
component mux21a
port(in0,in1,s1:in std_logic;
couty :out std_logic);
end component;
signal tmp : std_logic;
begin
u1 : mux21a port map(in0, in1, s0, tmp);
u2 : mux21a port map(in2, tmp, s1, couty);
end bhv;
③ dmux21a 仿真波形图
图3dmux21a仿真波形图
波形图预测:当s1=0时输出in2的波形;当s1=1,s0=1,输出in1的波形;当s1=1,s0=0,输出in0的波形。
由波形图可知,方针结果与预测结果一致。
(2)进行本实验引脚锁定时,建议选择实验模式5(no.5),用键1(pio0)控制端s0;用键2(pio1)控制端s1;a3、a2、a1分别接clock5、clock0和clock2;输出信号y接扬声器spkert。通过短路帽选择clock0接256hz信号,clock5接1024khz信号,clock2接8khz信号。最后进行编辑、下载和硬件测试实验(通过选择键1、键2、控制s0、s1,可使扬声器输出不同音调)。
表1-1 dmux21a在gwac6板上目标芯片ep1c6q240c8的引脚锁定信息
端口名称端口符号gwac6板输入输出元件gwac6板接口目标器件引脚备注
控制端s0s0键1pio0233模式no.5
控制端s1s1键2pio1234
输入信号3in2clock5clock5152
输入信号2in1clock0clock0153
输入信号1in0clock2clock228
扬声器y扬声器speaker174
如表1所示完成元件dmux21a与实验板引脚的绑定。如图4所示
图4 dmux21a与实验板引脚的绑定
五、实验思考题
比较图形编辑输入与文本编辑输入的主要差别,谈谈例化语句的作用及应用时应注意的问题。
1、比较图形编辑输入与文本编辑输入的主要差别
使用图形编辑法的话,我们需要对逻辑运算与硬件结构对应起来,也就是要懂得将逻辑运算转化成硬件电路,这需要有较为扎实的硬件功底。使用文本编辑输入的话,我们只需要懂得用vhdl表达逻辑运算,而不必关心硬件电路的组成,这可以减轻很多硬件设计方面的功夫(接线,选用元器件等)。
2、例化语句的作用及应用时应注意的问题
1) 例化语句的作用是:引入另一个已经设计好的组件。
2) 应用时应注意的问题:
① 要记得将原先已经设计好的原件放在工程底下。对于本体来说是mux21a。
② 要将引入的元件与顶级元件的引脚一一匹配,有需要的话,使用信号(singal)进行消息的传递。

去耦和旁路电路的能量储存
ASCB1系列智能微型断路器的应用概述
如何使用Arduino制造一个自动平衡机器人
逆变器的主要作用是什么?光伏逆变器的通讯方式介绍
满慎刚建议有关部门对煤炭企业加快智能化开采给予政策支持
基于VHDL的组合逻辑电路的设计、仿真
晶闸管的选用技巧
大数据妙用让深圳与众不同
新一代奥迪Q5颜值“爆棚”,性能配置强劲十足,预计明年在国内正式上市!
OPPO首款智能手表搭载AMOLED柔性屏,多样化的表盘样式
信捷PLC常见问题及解决方法经验分享
软银将IPO指导价格设定为1500日元 成为全世界最大规模IPO活动之一
OPPO 公开 “终端落水保护方法、装置、存储介质及移动终端”专利
到2024年,全球数据存储市场将破千亿美元大关
德国斐纳推出:TF-D60智能扫地机器人,打造一流扫地能手
飞行参数记录系统同步器信号的采集
石墨烯电池是什么 到底有什么优势
深度解析苹果A12处理器
特斯拉Model Y将在2020年量产,关于这款新车有哪些预期?
AgilentE4416A热季狂售E4416A功率计